Test Data Compression with Alternating Equal-Run-Length Coding

  • Authors

    • Sivanantham S
    • Aravind Babu S
    • Babu Ramki
    • Mallick P.S
    2018-10-02
    https://doi.org/10.14419/ijet.v7i4.10.27925
  • Test data compression, design for testability, low-power testing, run-length encoding, decompression, X-filling.
  • This paper presents a new X-filling algorithm for test power reduction and a novel encoding technique for test data compression in scan-based VLSI testing. The proposed encoding technique focuses on replacing redundant runs of the equal-run-length vector with a shorter codeword. The effectiveness of this compression method depends on a number of repeated runs occur in the fully specified test set. In order to maximize the repeated runs with equal run length, the unspecified bits in the test cubes are filled with the proposed technique called alternating equal-run-length (AERL) filling. The resultant test data are compressed using the proposed alternating equal-run-length coding to reduce the test data volume. Efficient decompression architecture is also presented to decode the original data with lesser area overhead and power. Experimental results obtained from larger ISCAS'89 benchmark circuits show the efficiency of the proposed work. The AERL achieves up to 82.05 % of compression ratio as well as up to 39.81% and 93.20 % of peak and average-power transitions in scan-in mode during IC testing.

     

     


  • References

    1. [1] P. Girard, X. Wen & N. Touba, “Low power testing, in System On Chip Test Architecturesâ€, Morgan Kaufmann, (2008).

      [2] R. Sankaralingam, R. R. Oruganti & N. A. Touba, “Static compaction techniques to control scan vector power dissipation,†Proceedings of the IEEE VLSI Test Symposium, (2000), pp. 35–40.

      [3] A. El-Maleh, S. Khursheed & S. Sait, (2006), “Efficient static compaction techniques for sequential circuits based on reverse-order restoration and test relaxation,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 11, pp. 2556–2564.

      [4] H. Kim, S. Kang & M. S. Hsiao, (2008) “A new scan architecture for both low power testing and test volume compression under soc test environment,†Journal of Electronic Testing: Theory and Applications (JETTA), vol. 24, no. 4, pp. 365–378.

      [5] Z. Wang, H. Fang, K. Chakrabarty & M. Bienek, (2009), “Deviation-based lfsr reseeding for test-data compression,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 2, pp. 259–271.

      [6] W. Lien, K. Lee & T. Hsieh, “A test-per-clock lfsr reseeding algorithm for concurrent reduction on test sequence length and test data volume,†in Proceedings of the Asian Test Symposium, (2012), pp. 278–283.

      [7] S. Ward, C. Schattauer & N. Touba, “Using statistical transformations to improve compression for linear decompressors,†in 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, (2005), pp. 42–50.

      [8] Sivanantham, S., Gopakumar, G., Pandey, A., & Paikada, M. J. (2013), ‘Adaptive test clock scheme for low transition LFSR and external scan based testing,†2013 International Conference on Computer Communication and Informatics,

      [9] A. B. Kinsman & N. Nicolici, (2010) “Time-multiplexed compressed test of soc designs,†IEEE Tranactions Very Large Scale Integration, (VLSI) System, vol. 18, no. 8, pp. 1159–1172.

      [10] D. Xiang, Y. Zhao, K. Chakrabarty & H. Fujiwara, (2008), “A reconfigurable scan architecture with weighted scan-enable signals for deterministic bist,†IEEE IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 6, pp. 999–1012.

      [11] J. M. Solana, (2009), “Reducing test application time, test data volume and test power through virtual chain partition,†Integration, the VLSI Journal, vol. 42, no. 3, pp. 385–399.

      [12] J. Rajski, J. Tyszer, M. Kassab, and N. Mukherjee, (2004), “Embedded deterministic test,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 5, pp. 776–792.

      [13] B. Koenemann, C. Barnhart, B. Keller, T. Snethen, O. Farnsworth, and D. Wheater, “A smartbist variant with guaranteed encoding,†in Proceedings of the Asian Test Symposium, (2001)pp. 325–330.

      [14] S. Sivanantham, M. Padmavathy, S. Divyanga & P. V. Anitha Lincy, “System-on-a-chip test data compression and decompression with reconfigurable serial multiplier,†International Journal of Engineering and Technology, vol. 5, no. 2, pp. 973–978, 2013.

      [15] L. Li & K. Chakrabarty, (2004), “Test set embedding for deterministic bist using a reconfigurable interconnection network,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 9, pp. 1289–1305.

      [16] A. Jas, G.-D. Jayabrata & N. A. Touba, “Scan vector compression/ decompression using statistical coding,†in Proc IEEE VLSI Test Symp. , (1999), pp. 114–120.

      [17] H. Ichihara, Y. Iwamoto, Y. Yoshikawa & T. Inoue, “Test compression based on lossy image encoding,†in Proceedings of the Asian Test Symposium, (2011), pp. 273–278.

      [18] ] A. Chandra & K. Chakrabarty, (2002) “Test data compression and decompression based on internal scan chains and golomb coding,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 6, pp. 715–722.

      [19] A. Chandra & K. Chakrabarty, (2003), “Test data compression and test resource partitioning for system on-a-chip using frequency-directed run-length (fdr) codes,†IEEE Transaction on Computers, vol. 52, no. 8, pp. 1076 – 1088.

      [20] A. Chandra & K. Chakrabarty, (2003), “A unified approach to reduce soc test data volume, scan power and testing time,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, no. 3, pp. 352–362.

      [21] A. H. El-Maleh, (2008), “Test data compression for system-on-a-chip using extended frequency-directed run-length code,†IET Computers and Digital Techniques, vol. 2, no. 3, pp. 155–163.

      [22] W. Zhan & A. El-Maleh, (2012),“A new scheme of test data compression based on equal-run-length coding(erlc),†Integration, the VLSI Journal, vol. 45, no. 1, pp. 91–98.

      [23] P. Rosinger, P. Gonciari, B. Al-Hashimi & N. Nicolici, “Simultaneous reduction in volume of test data and power dissipation for systems-on-a-chip,†Electronics Letters, vol. 37, no. 24, pp. 1434–1436, 2001.

      [24] B. Ye, Q. Zhao, D. Zhou, X. Wang & M. Luo, (2011),“Test data compression using alternating variable run-length code,†Integration, the VLSI Journal, vol. 44, no. 2, pp. 103–110.

      [25] A. Chandra & K. Chakrabarty, “Combining low-power scan testing and test data compression for system-on-a-chip,†in Proceedings of Design Automation Conference, (2001), pp. 166 – 169.

      [26] J. Feng and G. Li, “A test data compression method for system-on-a-chip,†in Proceedings - 4th IEEE International Symposium on Electronic Design, Test and Applications, (2008), pp. 270–273.

      [27] L. T. Wang, C. W. Wu & X. Wen, VLSI Test Principles and Architectures: Design for Testability, 2006.

      [28] A. Jas, J. Ghosh-Dastidar, M. Ng & N. Touba, (2003) “An efficient test vector compression scheme using selective huffman coding,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, no. 6, pp. 797–806.

      [29] S. Reda & A. Orailoglu, “Reducing test application time through test data mutation encoding,†in Proceedings of Design, Automation and Test in Europe Conference and Exhibition, (2002), pp. 387–393.

      [30] A. Jas and N. A. Touba, “Test vector decompression via cyclical scan chains and its application to testing core-based designs,†in IEEE International Test Conference, (1998), pp. 458–464.

      [31] A. Chandra and K. Chakrabarty, (2001) ,“System-on-a-chip test-data compression and decompression architectures based on golomb codes,†IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 3, pp. 355–368.

      [32] A. El-Maleh and R. Al-Abaji, “Extended frequency-directed run-lengthcode with improved application to system-on-a-chip test data compression,†in 9th International Conference on Electronics, Circuits and Systems, (2002), vol. 2, pp. 449 – 452.

      [33] S. Sivanantham, M. Padmavathy, G. Gopakumar, P. S. Mallick, and J. R. P. Perinbam, (2014), “Enhancement of test data compression with multistage encoding,†Integration, the VLSI Journal, Vol.47 No.4, pp. 499-509.

      [34] S. Sivanantham, P. S. Mallick, and J. Raja Paul Perinbam, (2014), “Low power selective pattern compression for scan-based test applications,†Computers and Electrical Engineering, Vol.40, No.4, pp. 1053-1063.

      [35] S. Sivanantham, J. Manuel, K. Sarathkumar, P. S. Mallick, and J. R. P. Perinbam, “Reduction of test power and test data volume by power aware compression scheme,†in International Conference on Advances in Computing and Communications, (2012), pp. 158–161.

      [36] A. H. El-Maleh, “Efficient test compression technique based on block merging,†IET Computers and Digital Techchiques, vol. 2, no. 5, pp. 327–335, 2008.

      [37] Thilagavathi, K., Sivanantham, S. (2018), “Two-stage low power test data compression for digital VLSI circuits†Computers and Electrical Engineering, 71, pp. 309-320.

  • Downloads

  • How to Cite

    S, S., Babu S, A., Ramki, B., & P.S, M. (2018). Test Data Compression with Alternating Equal-Run-Length Coding. International Journal of Engineering & Technology, 7(4.10), 1089-1094. https://doi.org/10.14419/ijet.v7i4.10.27925